-
Notifications
You must be signed in to change notification settings - Fork 0
/
Copy pathbench.py
148 lines (112 loc) · 2.86 KB
/
bench.py
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
import cocotb
from cocotb.clock import Clock
from cocotb.triggers import RisingEdge, FallingEdge, Timer, ClockCycles, Event
from cocotb.utils import get_sim_time
import random
nxu8_memory = {}
nxu8_write = Event("nxu8_write")
nxu8_read = Event("nxu8_read")
uart_read = Event("uart_read")
async def nx_u8(dut):
while True:
# Read address
addr = 0
for x in range(7):
await RisingEdge(dut.o_nx_clk)
addr <<= 1
addr |= dut.io_nx_data.value
# Read/Write
await RisingEdge(dut.o_nx_clk)
write = not dut.io_nx_data.value
if write:
# Read 16 bits
data = 0
for x in range(16):
await RisingEdge(dut.o_nx_clk)
data <<= 1
data |= dut.io_nx_data.value
nxu8_memory[addr] = data
print(f"[*] Write: {data:04X} to {addr:02X}")
nxu8_write.set((addr, data))
else:
try:
data = nxu8_memory[addr]
except KeyError:
data = 0x1234
print(f"[-] Couldn't find data at {addr:02X}")
print(f"[*] Read: {data:04X} from {addr:02X}")
for x in range(16):
await RisingEdge(dut.o_nx_clk)
dut.io_nx_data.value = 1 if (data & 0x8000) else 0
data <<= 1
nxu8_read.set((addr, data))
async def uart_rx(dut):
while True:
# Wait for start bit
await FallingEdge(dut.o_uart_tx)
# Wait 1.5 bits
await ClockCycles(dut.i_clk, 15)
# Get 8 bits
data = 0
for x in range(8):
data >>= 1
data |= 0x80 if dut.o_uart_tx.value else 0
await ClockCycles(dut.i_clk, 10)
print(f"[*] UART RX: {data:02X}")
uart_read.set(data)
async def uart_tx(dut, data):
print(f"[*] UART TX: {data:02X}")
data = 0x200 | (data << 1)
while data != 0:
dut.i_uart_rx.value = data & 1
data >>= 1
await ClockCycles(dut.i_clk, 10)
@cocotb.test()
async def test(dut):
dut.i_uart_rx.value = 1
# DUT Clock
cocotb.start_soon(Clock(dut.i_clk, 2, "ns").start())
await ClockCycles(dut.i_clk, 2)
# UART RX
await cocotb.start(uart_rx(dut))
# nX-U8 Emulator
await cocotb.start(nx_u8(dut))
# Write then read test
for x in range(10):
# Write random value to random address
addr = random.getrandbits(7)
data = random.getrandbits(16)
await uart_tx(dut, 0x80 | addr)
await uart_tx(dut, data >> 8)
await uart_tx(dut, data & 0xFF)
# Wait for write
await nxu8_write.wait()
nxu8_write.clear()
# Check match
assert(nxu8_write.data[0] == addr)
assert(nxu8_write.data[1] == data)
# Read back from memory
await uart_tx(dut, addr)
read = 0
for x in range(2):
await uart_read.wait()
uart_read.clear()
read <<= 8
read |= uart_read.data
# Check match
assert(read == data)
# Random read test
for x in range(10):
addr = random.getrandbits(7)
data = random.getrandbits(16)
nxu8_memory[addr] = data
# Read from memory
await uart_tx(dut, addr)
read = 0
for x in range(2):
await uart_read.wait()
uart_read.clear()
read <<= 8
read |= uart_read.data
# Check match
assert(read == data)