From 7667eaee119dccef1a32f7bccc315d320905dde1 Mon Sep 17 00:00:00 2001 From: Lux Date: Thu, 16 Jan 2025 15:51:52 -0800 Subject: [PATCH] ADD: Print BlackBox --- src/main/resources/vsrc/BytePrinter.v | 36 +++++++++++++++++++++++++++ 1 file changed, 36 insertions(+) create mode 100644 src/main/resources/vsrc/BytePrinter.v diff --git a/src/main/resources/vsrc/BytePrinter.v b/src/main/resources/vsrc/BytePrinter.v new file mode 100644 index 00000000000..300c487cf52 --- /dev/null +++ b/src/main/resources/vsrc/BytePrinter.v @@ -0,0 +1,36 @@ +module BytePrinter +#( + parameter FILE_NAME = "byte_printer.txt" +) +( + input clk, + input reset, + input in_valid, + input[7:0] in_byte +); + +`ifdef VCS + +integer file; + +initial begin + file = $fopen(FILE_NAME, "w"); + if (file == 0) begin + $display("Failed to open byte_printer.txt"); + $finish; + end +end + +always @(posedge clk) begin + if (in_valid & ~reset) begin + $fwrite(file, "%c", in_byte); + end +end + +final begin + $fclose(file); +end + +`endif + +endmodule \ No newline at end of file